顶部
收藏

电子技术基础 数字部分(第7版)


作者:
康华光 张林
定价:
68.00元
ISBN:
978-7-04-055664-3
版面字数:
780.000千字
开本:
16开
全书页数:
暂无
装帧形式:
平装
重点项目:
暂无
出版时间:
2021-08-31
读者对象:
高等教育
一级分类:
电气/电子信息/自动化类
二级分类:
电子电气类核心课程
三级分类:
数字电子技术

本书为“十二五”普通高等教育本科国家级规划教材,曾荣获全国高等学校优秀教材奖、优秀教材全国特等奖、科技进步二等奖和优秀教材一等奖。

本次修订进一步弱化了中规模器件属性,突出了组合与时序基本单元模块的原理,加强了用Verilog HDL设计实现逻辑电路的相关内容,数模与模数转换器的内容更加贴近实际应用。

全书共11章,分别是:数字逻辑概论,逻辑代数与硬件描述语言基础,逻辑门电路,组合逻辑电路,锁存器和触发器,时序逻辑电路,半导体存储器,FPGA和CPLD,脉冲波形的变换与产生,数模与模数转换器,数字系统设计基础。附录中列出电气简图用图形符号——二进制逻辑单元(GB/T 4728.12—2008)简介,常用基本逻辑符号对照表,编写Verilog HDL测试模块,ModelSim仿真软件的使用,Quartus Prime软件的使用。

本书可作为高等学校电气类、电子信息类、自动化类等专业“数字电子技术基础”课程的教材,也可供相关工程技术人员参考。

  • 前辅文
  • 1 数字逻辑概论
    • 1.1 数字信号与数字电路
      • 1.1.1 数字技术的发展及其应用
      • 1.1.2 数字集成电路的分类及特点
      • 1.1.3 模拟信号和数字信号
      • 1.1.4 数字信号的描述方法
    • 1.2 数制
      • 1.2.1 十进制
      • 1.2.2 二进制
      • 1.2.3 十-二进制之间的转换
      • 1.2.4 十六进制和八进制
    • 1.3 二进制数的算术运算
      • 1.3.1 无符号二进制数的算术运算
      • 1.3.2 带符号二进制数的减法运算
    • 1.4 二进制代码
      • 1.4.1 二-十进制码
      • 1.4.2 格雷码
      • 1.4.3 ASCII码
    • 1.5 二值逻辑变量与基本逻辑运算
    • 1.6 逻辑函数及其表示方法
      • 1.6.1 逻辑函数的几种表示方法
      • 1.6.2 逻辑函数表示方法之间的转换
    • 小结
    • 习题
  • 2 逻辑代数与硬件描述语言基础
    • 2.1 逻辑代数的基本定律和规则
      • 2.1.1 逻辑代数的基本定律和恒等式
      • 2.1.2 逻辑代数的基本规则或定理
    • 2.2 逻辑函数表达式的形式
      • 2.2.1 逻辑函数表达式的基本形式
      • 2.2.2 最小项与最小项表达式
      • 2.2.3 最大项与最大项表达式
    • 2.3 逻辑函数的代数化简法
    • 2.4 逻辑函数的卡诺图化简法
      • 2.4.1 用卡诺图表示逻辑函数
      • 2.4.2 用卡诺图化简逻辑函数
    • 2.5 硬件描述语言Verilog HDL基础
      • 2.5.1 Verilog HDL模块的基本结构
      • 2.5.2 逻辑功能的仿真与测试
      • 2.5.3 Verilog HDL的基本语法规则
      • 2.5.4 数据类型
      • 2.5.5 运算符及其优先级
      • 2.5.6 Verilog HDL内部的基本门级元件
    • 小结
    • 习题
  • 3 逻辑门电路
    • 3.1 逻辑门电路简介
      • 3.1.1 各种逻辑门电路系列简介
      • 3.1.2 开关电路
    • 3.2 基本CMOS逻辑门电路
      • 3.2.1 MOS管及其开关特性
      • 3.2.2 CMOS反相器
      • 3.2.3 CMOS与非门和或非门
      • 3.2.4 CMOS传输门
    • 3.3 CMOS逻辑门的其他输出结构及参数
      • 3.3.1 CMOS逻辑门的保护和缓冲电路
      • 3.3.2 CMOS漏极开路门和三态输出门电路
      • 3.3.3 CMOS逻辑门电路的主要技术参数
    • 3.4 类NMOS和BiCMOS逻辑门电路
      • 3.4.1 类NMOS门电路
      • 3.4.2 BiCMOS门电路
    • 3.5 TTL逻辑门电路
      • 3.5.1 BJT的开关特性
      • 3.5.2 TTL反相器的基本电路
      • 3.5.3 抗饱和TTL门电路
    • 3.6 逻辑描述中的几个问题
      • 3.6.1 正负逻辑问题
      • 3.6.2 基本逻辑门的等效符号及其应用
    • 3.7 逻辑门电路使用中的几个实际问题
      • 3.7.1 各系列逻辑门电路之间的接口问题
      • 3.7.2 逻辑门电路驱动其他负载时的接口
      • 3.7.3 抗干扰措施
      • 3.7.4 差分信号传输
      • 3.7.5 CMOS电路中的小尺寸逻辑和宽总线系列
    • *3.8 用Verilog HDL描述CMOS门电路
      • 3.8.1 CMOS门电路的Verilog建模
      • 3.8.2 CMOS传输门电路的Verilog建模
    • 小结
    • 习题
  • 4 组合逻辑电路
    • 4.1 组合逻辑电路的分析
      • 4.1.1 组合逻辑电路的定义
      • 4.1.2 组合逻辑电路的分析方法
    • 4.2 组合逻辑电路的设计
      • 4.2.1 组合逻辑电路的设计过程
      • 4.2.2 组合逻辑电路的优化实现
    • 4.3 组合逻辑电路中的竞争-冒险
      • 4.3.1 产生竞争-冒险的原因
      • 4.3.2 消去竞争-冒险的方法
    • 4.4 常用组合逻辑电路模块
      • 4.4.1 编码器
      • 4.4.2 译码器/数据分配器
      • 4.4.3 数据选择器
      • 4.4.4 数值比较器
      • 4.4.5 算术运算电路
    • 4.5 组合逻辑的可编程电路实现
      • 4.5.1 PLD的电路表示、编程技术及分类
      • 4.5.2 组合逻辑电路的PLD实现
    • 4.6 用Verilog HDL描述组合逻辑电路
      • 4.6.1 组合逻辑电路的行为级建模
      • 4.6.2 分模块、分层次的电路设计
    • 小结
    • 习题
  • 5 锁存器和触发器
    • 5.1 基本双稳态电路
    • 5.2 SR锁存器
      • 5.2.1 基本SR锁存器
      • 5.2.2 门控SR锁存器
    • 5.3 D锁存器
      • 5.3.1 D锁存器的电路结构
      • 5.3.2 D锁存器集成电路
      • 5.3.3 D锁存器的动态特性
    • 5.4 D触发器
      • 5.4.1 主从D触发器
      • 5.4.2 具有清零和置数输入的主从D触发器
      • 5.4.3 具有使能控制的主从D触发器
      • 5.4.4 其他结构的D触发器
      • 5.4.5 D触发器的动态特性
    • 5.5 触发器的逻辑功能
      • 5.5.1 D触发器
      • 5.5.2 JK触发器
      • 5.5.3 T触发器
      • 5.5.4 SR触发器
      • 5.5.5 D触发器逻辑功能的转换
    • 5.6 用Verilog HDL描述锁存器和触发器
      • 5.6.1 时序逻辑电路建模基础
      • 5.6.2 锁存器和触发器的Verilog建模实例
    • 小结
    • 习题
  • 6 时序逻辑电路
    • 6.1 时序逻辑电路的基本概念
      • 6.1.1 时序逻辑电路的基本结构与分类
      • 6.1.2 时序逻辑电路功能的表达
    • 6.2 同步时序逻辑电路的分析
      • 6.2.1 分析同步时序逻辑电路的一般步骤
      • 6.2.2 同步时序逻辑电路分析举例
    • 6.3 同步时序逻辑电路的设计
      • 6.3.1 设计同步时序逻辑电路的一般步骤
      • 6.3.2 同步时序逻辑电路设计举例
      • 6.3.3 同步时序逻辑电路中的时钟偏移
    • 6.4 异步时序逻辑电路的分析
    • 6.5 常用时序逻辑电路模块
      • 6.5.1 寄存器和移位寄存器
      • 6.5.2 计数器
    • 6.6 时序逻辑的可编程电路实现
    • 6.7 用Verilog HDL描述时序逻辑电路
      • 6.7.1 移位寄存器的Verilog建模
      • 6.7.2 计数器的Verilog建模
      • 6.7.3 状态转换图的Verilog建模
      • 6.7.4 数字钟的Verilog建模
    • 小结
    • 习题
  • 7 半导体存储器
    • 7.1 只读存储器
      • 7.1.1 ROM的基本结构
      • 7.1.2 二维译码与存储阵列
      • 7.1.3 可编程ROM
      • 7.1.4 ROM实例
      • 7.1.5 ROM应用举例
    • 7.2 随机存取存储器
      • 7.2.1 SRAM
      • 7.2.2 同步SRAM
      • 7.2.3 DRAM
      • 7.2.4 存储容量的扩展
      • 7.2.5 RAM应用举例
    • 小结
    • 习题
  • 8 FPGA和CPLD
    • 8.1 现场可编程门阵列(FPGA)
      • 8.1.1 FPGA中编程实现逻辑功能的基本原理
      • 8.1.2 FPGA的结构简介
    • 8.2 复杂可编程逻辑器件(CPLD)简介
      • 8.2.1 传统的CPLD结构
      • 8.2.2 基于查找表的CPLD结构
    • 8.3 可编程逻辑器件开发过程简介
    • 8.4 用EDA技术和可编程器件设计举例
    • 小结
    • 习题
  • 9 脉冲波形的变换与产生
    • 9.1 单稳态电路
      • 9.1.1 逻辑门组成的单稳态电路
      • 9.1.2 集成单稳态电路
      • 9.1.3 单稳态电路的应用
    • 9.2 施密特触发电路
      • 9.2.1 逻辑门组成的施密特触发电路
      • 9.2.2 集成施密特触发电路
      • 9.2.3 施密特触发电路的应用
    • 9.3 多谐振荡电路
      • 9.3.1 逻辑门组成的多谐振荡电路
      • 9.3.2 施密特触发电路组成的多谐振荡电路
      • 9.3.3 石英晶体多谐振荡电路
    • 9.4 555定时器及其应用
      • 9.4.1 555定时器
      • 9.4.2 用555组成的施密特触发电路
      • 9.4.3 用555组成的单稳态电路
      • 9.4.4 用555组成的多谐振荡电路
    • 小结
    • 习题
  • 10 数模与模数转换器
    • 10.1 D/A转换器
      • 10.1.1 权电阻网络D/A转换器
      • 10.1.2 倒T形电阻网络D/A转换器
      • 10.1.3 权电流型D/A转换器
      • 10.1.4 电阻串联分压式D/A转换器
      • 10.1.5 D/A转换器的双极性输出方式
      • 10.1.6 D/A转换器的主要技术指标
      • 10.1.7 D/A转换器的应用
    • 10.2 A/D转换器
      • 10.2.1 A/D转换的一般工作过程
      • 10.2.2 并行比较型A/D转换器
      • 10.2.3 逐次比较型A/D转换器
      • 10.2.4 双积分型A/D转换器
      • 10.2.5 A/D转换器的主要技术指标
      • 10.2.6 集成A/D转换器及其应用
    • 小结
    • 习题
  • *11 数字系统设计基础
    • 11.1 数字系统概述
      • 11.1.1 数字系统的组成
      • 11.1.2 数字系统的设计方法
      • 11.1.3 数字系统的实现
    • 11.2 算法状态机
      • 11.2.1 ASM图形符号
      • 11.2.2 ASM图举例
    • 11.3 交通信号灯控制系统
      • 11.3.1 交通信号灯控制系统ASM图
      • 11.3.2 用典型电路基本模块设计交通灯控制系统
      • 11.3.3 交通灯控制系统Verilog建模
    • 11.4 数字密码锁
      • 11.4.1 数字密码锁的ASM图
      • 11.4.2 用典型电路基本模块设计数字密码锁
      • 11.4.3 数字密码锁Verilog建模
    • 小结
    • 习题
  • 附录A 电气简图用图形符号——二进制逻辑单元(GB/T 4728.12—2008)简介
    • A.1 二进制逻辑单元图形符号的组成
    • A.2 限定性符号
    • A.3 关联标注法
  • 附录B 常用基本逻辑符号对照表
  • 附录C 编写Verilog HDL测试模块
    • C.1 测试模块的基本结构
    • C.2 组合逻辑电路的测试
    • C.3 时序逻辑电路的测试
    • C.4 编译指令、系统任务和系统函数
    • C.4.1 Verilog HDL编译器指令
    • C.4.2 Verilog HDL系统任务
    • C.4.3 Verilog HDL系统函数
  • 附录D ModelSim仿真软件的使用
  • 附录E Quartus Prime软件的使用
  • 参考文献
  • 索引(汉英对照)

电子技术基础 数字部分 (第7版)数字课程与纸质教材一体化设计,紧密配合。数字课程涵盖重难点讲解视频、思维导图、部分习题答案和相关拓展文档。通过运用多种形式的数字化资源,既丰富了知识的呈现形式,又拓展了教材内容,方便读者进一步了解和学习纸质教材的内容。

相关图书